VCD是什么格式的文件?

电脑教程025

VCD是什么格式的文件?,第1张

一张CD只有700M,DVD有4.7G

要看刻什么格式,只刻数据盘的话,光盘有多大,就可以装多少,像U盘一样,但不能在DVD或VCD上播放,只能在电脑上读取数据。

Video CD format(VCD格式) ,MPEG图像特有的一种文件格式。VCD写作软件将这种文件写到一张CD上,该CD称为video CD,它可以在VCD播放机或用MPEG播放器在工作站的CD-ROM驱动器上播放。 VCD即 Video Compact Disc 的缩写:视频压缩盘片。VCD标准由索尼、飞利浦、JVC、松下等电器生产厂商联合于1993年制定,属于数字光盘的白皮书标准。

扩展资料:

以一张空白的光盘来论,容量大约在650MB-680MB左右,录制音乐CD格式可以放入74分钟的长度,而录制VCD图像则大约可以放下50-60分钟,因此如果你的图像长度超过1小时,请分数段来截取,以免在刻录到空白光盘的时候超出它的最大容量。

最重要的是,在截取图像的时候,你必须注意到你所设定的图像截取格式参数是否完全符合VCD的标准标准。其中只要有任何一项不符合,刻录出来的光盘就不能够播放,那么就算是失败了。

分类: 电脑/网络 >>软件 >>多媒体软件

问题描述:

VCD能否在电脑上打开?我把VCD放在电脑上,出现“Realplayer需要下载新的软件才能播放该剪辑”。请问要下载什么软件才能在电脑上播放VCD?或者是电脑根本无法播放VCD,而只能在VCD影碟机上才能播放呢?

解析:

Windows Media Player就可以带开~

你打开光盘里的文件夹里面有.DAT格式的文件!用Windows Media Player打开就可以看带图像了!

的确,你没有可以打开的软件.文件需要与能打开的相应软件相关联才能打开.影音文件我推鉴暴风影音,能打开的文件格式超多,甚至能打开游戏通关动画和游戏音乐.关联的方法有两种,一种在文件上右键→属性→打开方式→浏缆下好的软件.第二种方法其实就是在安装暴风影音一类的软件的时候会叫你勾选要关联的文件格式.就是这样...