Java三元运算符

Python024

Java三元运算符,第1张

运算符的通用格式如下:

expression1?expression2:expression3

其中,expression1是一个布尔表达式。如果expression1为真,那么expression2被求值;否则,expression3被求值。整个表达式的值就是被求值表达式(expression2或expression3)的值。expression2和expression3是除了void以外的任何类型的表达式,且它们的类型必须相同。

下面是一个利用运算符的例子:

ratio=denom==0?0:num/denom

当Java计算这个表达式时,它首先看问号左边的表达式。如果denom等于0,那么在问号和冒号之间的表达式被求值,并且该值被作为整个表达式的值。如果denom不等于零,那么在冒号之后的表达式被求值,并且该值被作为整个表达式的值。然后将整个表达式的值赋给变量ratio。

一、电脑常见问题

主板不启动,开机无显示,有显卡报警声。

故障原因:一般是显卡松动或显卡损坏。  

处理办法:打开机箱,把显卡重新插好即可。要检查AGP插槽内是否有小异物,否则会使显卡不能插接到位;对于使用语音报警的主板,应仔细辨别语音提示的内容,再根据内容解决相应故障。  

如果以上办法处理后还报警,就可能是显卡的芯片坏了,更换或修理显卡。如果开机后听到"嘀"的一声自检通过,显示器正常但就是没有图像,把该显卡插在其他主板上,使用正常,那就是显卡与主板不兼容,应该更换显卡。

Java提供一个特别的三元运算符(ternary)经常用于取代某个类型的if-then-else语句。这个运算符就是?,并且它在Java中的用法和在C/C++中的几乎一样。该符号初看起来有些迷惑,但是一旦掌握了它,用?运算符是很方便高效的。

运算符的通用格式如下:

expression1:expression2:expression3

其中,expression1是一个布尔表达式。如果expression1为真,那么expression2被求值;否则,expression3被求值。整个表达式的值就是被求值表达式(expression2或expression3)的值。expression2和expression3是除了void以外的任何类型的表达式,且它们的类型必须相同。下面是一个利用运算符的例子:

ratio=denom==0?0:num/denom

当Java计算这个表达式时,它首先看问号左边的表达式。如果denom等于0,那么在问号和冒号之间的表达式被求值,并且该值被作为整个表达式的值。如果denom不等于零,那么在冒号之后的表达式被求值,并且该值被作为整个表达式的值。然后将整个表达式的值赋给变量ratio。

条件a:b

等同于

if(条件)

{

a

}else

{

b

}