试用c语言编写一个能输出锯齿波信号的单片机c51程序

Python014

试用c语言编写一个能输出锯齿波信号的单片机c51程序,第1张

程序加一个的LCD1602,你选择使用

的#include <reg52.h>的/ /包含头文件

?#包括<intrins.h>的

#定义UCHAR无符号的字符/ /宏定义

?#定义UINT无符号整数

?UCHAR I,J,N,Z,/ /定义全局变量

SBIT RS = P2 ^ 0/ /定义端口

?和SBIT RW = P2 ^ 1

SBIT E = P2 ^ 2

SBIT K = P2 ^ 7

SBIT K1 = P2 ^ 6

UCHAR代码STR0 [] = {“正弦波”}/ /定义LCD1602显示的字符数组

UCHAR代码STR1 [] = {“三角波”}

UCHAR代码字符串str2 [] = {“方波”}

?的UCHAR代码表[3] [40] = / /定义的两维阵列的波形

?{

??80H时,0x94的,0xa7,0xb9,0xca,0xd9,0xe7,0xf1,0XF8,是0xFD,

??是0xFD,0xff,则0XF8,0xf1,0xe7,0xd9,0xca,0xb9 0xa7,0x94的,

??80H时,0x6c,将0x55,0x46,0x35,$ 25,为0x18,0X0E,0X06,0X02,

??为0x00,0X02,0X06,0X0E,为0x18,$ 25,0x35,0x46,0x55??的,0x6c,

??80H时,0x8c,0x99,0xa6,0xb3,0XC0,含有0xCC,0xd9,0xe6,0xf2,

??为0xff,0xf2,0xe6,0xd9,含有0xCC,0XC0,0xb3,0xa6,0x99,0x8c,

??80H时,为0x73,0x66,0X5A,0x4d,0X40,地址0x33,0X26,0X1A,0X0D,

?为0x00,0X0D,0X1A,0X26,地址0x33,0X40,0x4d,为0x5A,0x66,为0x73,

??为0xff,0xff,则是0xFF,0xFF时,为0xff,0xff,则是0xFF,0xFF时,为0xff,0xff,则

??为0xff,0xff,则是0xFF,0xFF时,为0xff,0xff,则是0xFF,0xFF时,为0xff,0xff,则

??0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

??0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00

?}

?无效延迟(UINT Z)/ /延时程序

?{

????(Z,Z>0,Z - )

???

??

??}

?}

?无效Write_command“(UCHAR CMD)/ / LCD1602写命令程序

?{

??RS = 0/ /选择指令寄存器

?RW = 0/ /写操作

?E = 1 / /使能端

?P1 = CMD

?延迟时间(1)

?E = 0

LCD1602是不是很忙?延迟(10)/ /延时

?}

?无效WRITE_DATA(UCHAR CMD)/ / LCD1602写入数据的命令

?{

??RS = 1/ /选择数据寄存器

?RW = 0/ /写操作

?E = 1/ /结束

?P1 = CMD

?延迟时间(1)

?E = 0

LCD1602是不是很忙?延迟(10)/ /延时

?}

?的无效Lcd_init()/ / LCD1602初始化程序

?{

????Write_command(0x38)/ /设置16 * 5 * 7点阵8位数据接口

?Write_command(0X0C)/ / LCD1602打开显示,不显示光标

?Write_command(0X06)/ /读或写一个字符指针加1不动

?Write_command(0X80 +0 X00)/ /设置数据地址指针

?}

?无效显示器()/ / LCD1602显示英语课程

?{

????Lcd_init()

?(我== 0)

???

?组(n = 0,N <13,N +)

???

???WRITE_DATA(STR0 [N])

??}

????}

?否则,如果(我== 1)

?{

?组(n = 0,N <13,N +)

??

??WRITE_DATA(STR1 [N])

?}

?}

?其他

?{

?组(n = 0,N <13,N +)

??

??WRITE_DATA(字符串str2 [N])

?}

?}

?}

无效的主要()/ /主函数

?{

???显示()

?而(1)

?{

??中for(j = 0J <40+ +)

???

???P0 =表[I] [J]

???

??}

(k == 0)/ /切换程序??

???

????延迟时间(1)

???(k == 0)

????

????(K == 0)

?????

????+ +

????如果(i == 3)= 0

???显示()

????}

????

???}

??????而(k == 0)

??}

?}

?}

#include<reg52.h>

#define uchar unsigned char

#define uint unsigned int

//#define Fosc 24000000/12000000 //12分频后的频率

#define DAdata P0//DA数据端口

sbit DA_S1= P2^0 // 控制DAC0832的8位输入寄存器,仅当都为0时,可以输出数据(处于直通状态),否则,输出将被锁存

sbit DA_S2= P2^1 // 控制DAC0832的8位DAC寄存器,仅当都为0时,可以输出数据(处于直通状态),否则,输出将被锁存

sbit key= P3^2

uchar wavecount //'抽点'计数

uchar THtemp,TLtemp//传递频率的中间变量

//uint T_temp

uchar judge=1 //在方波输出函数中用于简单判别作用

uchar waveform //当其为0、1、2时,分别代表三种波

uchar code freq_unit[4]={10,50,200,10}//三种波的频率单位 sawtooth

uchar idata wavefreq[4]={1,1,1,1} //给每种波定义一个数组单元,用于存放单位频率的个数

uchar code lcd_hang1[]={"Sine Wave " "Triangle Wave " "Square Wave " "sawtooth Wave ""Select Wave:" "press No.1 key! "}

uchar idata lcd_hang2[16]={"f=Hz"}

/*uchar code wave_freq_adjust[]={ //频率调整中间值

0xff,0xb8,0x76,0x56,0x43,0x37,0x2e,0x26,0x20,0x1c, //正弦波频率调整中间值

0xff,0x8e,0x5a,0x41,0x32,0x28,0x20,0x1b,0x17,0x0e,//三角波频率调整中间值

0xff,0x8e,0x5a,0x41,0x32,0x28,0x20,0x1b,0x17,0x0e}

uint code wave_freq_adjust[]={ //频率调整中间值

380,184,118,86,67,55,46,28,38,32,

295,142, 90,65,50,40,32,27,23,14,

295,142, 90,65,50,40,32,27,23,14}*/

/*uchar code waveTH[]={

0xfc,0xfe,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xfc,0xfe,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff}

uchar code waveTL[]={

0xf2,0x78,0xfb,0x3c,0x63,0x7d,0x8f,0x9d,0xa8,0xb1,

0x17,0x0b,0xb2,0x05,0x37,0x58,0x70,0x82,0x90,0x9b,

0x4d,0xa7,0xc4,0xd3,0xdc,0xe2,0xe6,0xea,0xec,0xee}*/

/***********这两组数组很重要,需要根据波形来调试,选择合适的值,使输出波形达到频率要求************/

uchar code waveTH[]={

0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xec,0xf6,0xf9,0xfb,0xfc,0xfc,0xfd,0xfd,0xfd,0xfe}

uchar code waveTL[]={

0x06,0x8a,0x10,0x4e,0x78,0x93,0xa8,0xb3,0xbe,0xc6, //正弦波频率调整中间值

0xac,0xde,0x48,0x7a,0x99,0xaf,0xbb,0xc8,0xd0,0xde,//三角波频率调整中间值

0x88,0x50,0x90,0x32,0x34,0xbe,0x4a,0xa3,0xe5,0x2c}

/*************************************************************************************************/

uchar code triangle_tab[]={ //每隔数字8,采取一次

0x00,0x08,0x10,0x18,0x20,0x28,0x30,0x38,0x40,0x48,0x50,0x58,0x60,0x68,0x70,0x78,

0x80,0x88,0x90,0x98,0xa0,0xa8,0xb0,0xb8,0xc0,0xc8,0xd0,0xd8,0xe0,0xe8,0xf0,0xf8,0xff,

0xf8,0xf0,0xe8,0xe0,0xd8,0xd0,0xc8,0xc0,0xb8,0xb0,0xa8,0xa0,0x98,0x90,0x88,0x80,

0x78,0x70,0x68,0x60,0x58,0x50,0x48,0x40,0x38,0x30,0x28,0x20,0x18,0x10,0x08,0x00}

uchar code sine_tab[256]={

//输出电压从0到最大值(正弦波1/4部分)

0x80,0x83,0x86,0x89,0x8d,0x90,0x93,0x96,0x99,0x9c,0x9f,0xa2,0xa5,0xa8,0xab,0xae,0xb1,0xb4,0xb7,0xba,0xbc,

0xbf,0xc2,0xc5,0xc7,0xca,0xcc,0xcf,0xd1,0xd4,0xd6,0xd8,0xda,0xdd,0xdf,0xe1,0xe3,0xe5,0xe7,0xe9,0xea,0xec,

0xee,0xef,0xf1,0xf2,0xf4,0xf5,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,

//输出电压从最大值到0(正弦波1/4部分)

0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfd,0xfd,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,0xf6,0xf5,0xf4,0xf2,0xf1,0xef,

0xee,0xec,0xea,0xe9,0xe7,0xe5,0xe3,0xe1,0xde,0xdd,0xda,0xd8,0xd6,0xd4,0xd1,0xcf,0xcc,0xca,0xc7,0xc5,0xc2,

0xbf,0xbc,0xba,0xb7,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99 ,0x96,0x93,0x90,0x8d,0x89,0x86,0x83,0x80,

//输出电压从0到最小值(正弦波1/4部分)

0x80,0x7c,0x79,0x76,0x72,0x6f,0x6c,0x69,0x66,0x63,0x60,0x5d,0x5a,0x57,0x55,0x51,0x4e,0x4c,0x48,0x45,0x43,

0x40,0x3d,0x3a,0x38,0x35,0x33,0x30,0x2e,0x2b,0x29,0x27,0x25,0x22,0x20,0x1e,0x1c,0x1a,0x18,0x16 ,0x15,0x13,

0x11,0x10,0x0e,0x0d,0x0b,0x0a,0x09,0x08,0x07,0x06,0x05,0x04,0x03,0x02,0x02,0x01,0x00,0x00,0x00,0x00,0x00,0x00,

//输出电压从最小值到0(正弦波1/4部分)

0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02 ,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0a,0x0b,0x0d,0x0e,0x10,

0x11,0x13,0x15 ,0x16,0x18,0x1a,0x1c,0x1e,0x20,0x22,0x25,0x27,0x29,0x2b,0x2e,0x30,0x33,0x35,0x38,0x3a,0x3d,

0x40,0x43,0x45,0x48,0x4c,0x4e,0x51,0x55,0x57,0x5a,0x5d,0x60,0x63,0x66 ,0x69,0x6c,0x6f,0x72,0x76,0x79,0x7c,0x80}

uchar code sawtooth_tab[]={

0x00,0x02,0x04,0x06,0x08,0x0a,0x0c,0x0e,0x10,0x12,0x14,0x16,0x18,0x1a,0x1c,0x1e,0x20,0x22,0x24,0x26,0x28,0x2a,0x2c,0x2e,

0x30,0x32,0x34,0x36,0x38,0x3a,0x3c,0x3e,0x40,0x42,0x44,0x46,0x48,0x4a,0x4c,0x4e,0x50,0x52,0x54,0x56,0x58,0x5a,0x5c,0x5e,

0x60,0x62,0x64,0x66,0x68,0x6a,0x6c,0x6e,0x70,0x72,0x74,0x76,0x78,0x7a,0x7c,0x7e,0x80,0x82,0x84,0x86,0x88,0x8a,0x8c,0x8e}

//0x90,0x92,0x94,0x96,0x98,0x9a,0x9c,0x9e,0xa0,0xa2,0xa4,0xa6,0xa8,0xaa,0xac,0xae,0xb0,0xb2,0xb4,0xb6,0xb8,0xba,0xbc,0xbe,

//0xc0,0xc2,0xc4,0xc6,0xc8,0xca,0xcc,0xce,0xd0,0xd2,0xd4,0xd6,0xd8,0xda,0xdc,0xde,0xe0,0xe2,0xe4,0xe6,0xe8,0xea,0xec,0xee}

void delay(uchar z)

{

uint x,y

for(x=zx>0x--)

for(y=110y>0y--)

}

void triangle_out()//三角波输出

{

DAdata=triangle_tab[wavecount++]

if(wavecount>64) wavecount=0

DA_S1=0 //打开8位输入寄存器

DA_S1=1 //关闭8位输入寄存器

}

void sine_out() //正弦波输出

{

DAdata=sine_tab[wavecount++]

DA_S1=0 //打开8位输入寄存器

DA_S1=1 //关闭8位输入寄存器

}

void square_out() //方波输出

{

judge=~judge

if(judge==1) DAdata=0xff

else DAdata=0x00

DA_S1=0 //打开8位输入寄存器

DA_S1=1 //关闭8位输入寄存器

}

void sawtooth_out() //锯齿波输出

{

DAdata=sawtooth_tab[wavecount++]

if(wavecount>71) wavecount=0

DA_S1=0 //打开8位输入寄存器

DA_S1=1 //关闭8位输入寄存器

}

/************1602液晶的相关函数*************/

#define lcd_ports P1

sbit rs=P2^2

sbit rw=P2^3

sbit lcden=P2^4

void write_com(uchar com)

{

rs=0//置零,表示写指令

lcden=0

lcd_ports=com

delay(5)

lcden=1

delay(5)

lcden=0

}

void write_date(uchar date)

{

rs=1//置1,表示写数据(在指令所指的地方写数据)

lcden=0

lcd_ports=date

delay(5)

lcden=1

delay(5)

lcden=0

}

void disp_lcd(uchar addr,uchar *temp1)

{

uchar num

write_com(addr)

delay(1) //延时一会儿???

for(num=0num<16num++)

{

write_date(temp1[num])//或者这样写write_date(*(temp1+num))

delay(1)

}

}

void init_lcd()

{

//uchar num

lcden=0 //可有可无???

rw=0 //初始化一定要设置为零,表示写数据

write_com(0x38) //使液晶显示点阵,为下面做准备

write_com(0x0c) //初始设置

write_com(0x06) //初始设置

write_com(0x01) //清零

write_com(0x80) //使指针指向第一行第一格

disp_lcd(0x80,&lcd_hang1[4*16]) //在第一行显示

disp_lcd(0xc0,&lcd_hang1[5*16]) //在第二行显示

/*for(num=0num<16num++)

{

write_date(table[num])

delay(5)

}

write_com(0x80+0x40) //给指针重新赋值,使之指向第二行第一格

for(num=0num<16num++)

{

write_date(table1[num])

delay(5)

} */

/*TMOD=0x01 //选用定时方式1

TH0=(65536-50000)/256 //赋初值

TL0=(65536-50000)%256//

EA=1//开总中断

ET0=1 //开定时器中断

TR0=1 //启动定时器*/

}

/********************1602液晶函数声明结束*********************/

void main()

{

uchar i=0

DA_S2=0 //使DAC寄存器处于直通状态

DAdata=0

DA_S1=1 //关闭8位输入寄存器

init_lcd()

waveform=0

TMOD=0x01 //设置定时器0为16位工作方式

IT0=1 //设置外部中断0为下降沿触发

ET0=1 //开定时器中断

EX0=1

EA=1

while(1)

{

//DAout(0xff) //可输出TTL波形

//DAout(0x80)

//T_temp=32

}

}

void timer0() interrupt 1

{

TH0=THtemp

TL0=TLtemp

if(waveform==0) sine_out()

else if(waveform==1) triangle_out()

else if(waveform==2) square_out()

else if(waveform==3) sawtooth_out() //tian jian

}

void key_int0() interrupt 0

{

uchar keytemp

uint total_freq//总频率

EA=0TR0=0//关总中断与定时器

delay(5) //延时够吗???

if(key==0) //确实有按键按下而引发中断

{

keytemp=P3&0xf0//获取P3口高四位的值

switch(keytemp)

{

case 0xe0://选择波形

waveform++

if(waveform>3) waveform=0 //jiang 2 gai wei 3

break

case 0xd0: //频率按规定单位依次增加

wavefreq[waveform]++

if(wavefreq[waveform]>10) wavefreq[waveform]=1// /*这边要用“>10”,因为它比“=11”可靠

break// 性更高,使加数有个上限,不会一直加下去*/

case 0xb0: //频率按规定单位依次衰减

wavefreq[waveform]--

if(wavefreq[waveform]<1) wavefreq[waveform]=10//这边要用“<1”,因为它比“=0”可靠性更高

break

case 0x70://TTL输出

DA_S2=1//使DAC寄存器关闭

break

}

THtemp=waveTH[waveform*10+(wavefreq[waveform]-1)]//方括号中选取第几个数后,并把该值赋给T_temp

TLtemp=waveTL[waveform*10+(wavefreq[waveform]-1)]

total_freq= wavefreq[waveform] * freq_unit[waveform]//求输出频率(个数*单位)

lcd_hang2[5]=total_freq%10+0x30//在液晶中显示个位,(0x30 在液晶显示中表示数字0)

total_freq/=10lcd_hang2[4]=total_freq%10+0x30//在液晶中显示时十位

total_freq/=10lcd_hang2[3]=total_freq%10+0x30//在液晶中显示时百位

total_freq/=10lcd_hang2[2]=total_freq%10+0x30//在液晶中显示时千位

disp_lcd(0x80,&lcd_hang1[waveform*16]) //在第一行显示

disp_lcd(0xc0,lcd_hang2) //在第二行显示

}

wavecount=0//'抽点'计数清零

while(!key)

EA=1TR0=1//开启总中断与定时器

如果该程序的频率是错误的,

“TH0 = 0XF6 / /初始值的246句话0xf6向上调整,增加值将提高精度。

/ **************************

MCU:S52

水晶:24M

**************************** /

#包括<reg52.h>

SBIT输出= P1 ^ 0 / / P1.0引脚输出100K

或无效timer0_init(0)

{

TMOD = 0X02 / /定时器0模式2

TH0 = 0XF6 / /初始值246

ET0 = 1 / /开中断

EA = 1 / /开总中断

TR0 = 1 / /定时器0

}

无效的主要()

{

timer0_init()

而(1)

}

:无效timer_isvof()中断1

{

输出=!输出/ /中断翻转

}

此外,车主在集团的产品,买的,便宜的保证